?

VHDL開發系統在HDPLD研制的應用

2020-03-30 20:28方育紅
青年生活 2020年7期

方育紅

摘要:本文介紹了VHDL應用開發系統所具備的功能及特點,本文還總結了項目研制過程中的技術考慮

關鍵詞:VHDL;CPLD/FPGA器件;可編程邏輯器件;ASIC芯

1 系統研究的目的和意義

隨著CMOS技術的日趨成熟和EDA技術的逐步完善,數字系統的設計理論和方法產生了較大的變革。一種基于VHDL硬件描述語言的,建立在HDPLD基礎上ASIC芯片的設計和開發在國際上,特別是在少數發達國家,被越來越多的人關注和采用。目前,密度達數百萬門以上的HDPLD芯片已面市,一些支持更高行為描述級別(如系統芯片級)VHDL綜合器和實現工具相繼研制開發成功,這些都為本項目的研制提供了堅實的技術基礎。

該平臺是采用VHDL的方法來研制、開發ASIC芯片。應用VHDL的方法根本克服了傳統設計方法的不足,例如設計和制作過程復雜、耗時、設計成本較高,設計不易修改且很難重復利用以前的設計模塊,設計文檔不易交流等。由于VHDL描述語言的豐富語言結構,使數字系統的設計變得簡單,易于實現和易于修改,從而使設計時間和設計成本都大為降低。由于VHDL的結構化、層次化的特征,多個設計人員可同時開發、設計同一任務,因此特別適合大型系統的設計與開發。

2 系統提供的功能及特點

VHDL具有功能強大的語言結構,可以用簡潔明確的源代碼來描述復雜的邏輯控制。它具有多層次的設計描述功能,層層細化,最后可直接生成電路級描述。VHDL支持同步電路、異步電路和隨機電路的設計,這是其他硬件描述語言所不能比擬的。

圖1:設計實例功能仿真圖

3 項目開發過程的技術考慮

項目工作初期我們集中于對XILINX和LATTICE公司的器件及開發工具展開剖析,而后我們又對ALTERA公司的器件與開發工具進行了大量的分析,通過比較研究最后我們認為利用ALTERA公司的器件與開發工具為基礎進行設計實現較好。

以ALTERA公司的MAX7000S系列器件為例,我們主要是基于下列技術考慮:

(1)宏單元相同的情況下,MAX7000S系列器件I/O引腳更多,且支持JTAG方式,速度更快。

(2)支持器件的垂直移植(vertical migration)。

MAX7000S系列器件的垂直移植特性是指在同一產品系列中提供引腳完全兼容和包裝相同的不同密度,不同速度,不同工作環境,不同成本的多種器件供用戶根據自己的系統特定要求選擇。

(3)密度更高。

ALTERA公司積極采用最先進的集成電路制造技術,使得器件的密度與同類產品相比更高,因此在同類產品中價格更底。如1992年ALTERA公司采用0.8微米雙層金屬工藝(0.8-micron Dual-layer Metal Process),1997年ALTERA公司采用0.5微米三層金屬工藝,1998年ALTERA公司采用0.35微米四層金屬工藝,且用于EPM7000S產品的生產,而當時同類產品XILINX公司的XC9500則采用0.6微米雙層金屬工藝。對MAX7000S系列器件與LATTICE公司的ispLSI2000系列器件進行比較,可見MAX7000S系列器件單位成本內可提供更多的宏單元。

(4)ALTERA公司提供了更開放的開發工具MAX+PLUSII,它和其他第三方開發工具之間具有更良好的接口性。

(5)我們對相同宏單元的MAX7128S和XC95108兩種芯片在兩種模式即工作模式和靜態模式下的功耗作了比較,發現兩種工作模式下XC95108的功耗都大于MAX7128S。

(6)從各公司提供的數據手冊可見,在編程時間方面, ALTERA公司的MAX 7000S系列產品優于XILINX公司的同類XC9500系列產品。

(7)通過對各公司器件的性能及價格的比較,同時考慮國內片源供應方面的問題,ALTERA公司的系列器件較之其他公司的產品更易獲得且價格較便宜。

(8)系統功能在線升級。

利用ISP(in system programming)提供的現場可編程功能,可低成本的升級系統功能,有利于開發產品早日上市。

(9)采用ISP技術與采用非ISP技術比較所體現的優點為:與PCB(printed circuit board,印制電路版)同步設計,不需功能模塊芯片庫存,簡化裝配過程,節省產品成本及設計時間。

(10)器件使用率。

通過統計實驗表明,完成相同的邏輯功能XILINX公司的XC95108比ALTERA公司的MAX7000S系列器件EPM7128S多用7%的宏單元。

(11)編程方法。

通過比較各公司對編程方式的支持情況,MAX7000S系列器件可被更多的編程方式支持。

4? 結束語

目前,我國許多電子工程師已掌握了傳統的基于微處理器系統的開發手段,編寫匯編程序,利用開發系統進行仿真來調試匯編程序和接口信號。在這一基礎上,如果掌握一些常用的主流EDA開發工具,了解復雜數字系統的設計思路并能主動深入地學習和使用VHDL語言,不但能設計出具有自己知識產權的微控制器和線路板,甚至能設計出幾萬門甚至幾百萬門的專用數字信號處理芯片和片上系統。本項目的研制成功也為我國電子工業的進步作了一點微薄的貢獻,在下一輪的項目產品化開發過程中,我們將集中精力從高等院校電子設計實驗室建設著手,用本項目的具體研制成果“ASIC(VHDL)應用開發系統”來武裝高等院校電子設計實驗室,從教育的角度力爭為我國電子工業早日趕上國際先進水平做出貢獻。

參考文獻:

[1]林明權等編著,VHDL數字控制系統設計范例[M].北京:電子工業出版社,2003.

[2]任曉東等編著,CPLD/FPGA高級應用開發指南[M].北京:電子工業出版社,2003.

91香蕉高清国产线观看免费-97夜夜澡人人爽人人喊a-99久久久无码国产精品9-国产亚洲日韩欧美综合