?

FPGA控制的反激式開關電源的設計

2016-11-25 00:00王磊陳鳳騰高君楠
電腦知識與技術 2016年26期

王磊++陳鳳騰++高君楠

摘要:針對開關電源的特點和現有數字化控制方案,設計了一種以 FPGA為控制核心的反激式開關穩壓電源。文中詳細論述了該系統的硬件組成結構和實現方法,通過改變PWM脈沖寬度實現5-24V輸出電壓可調,具有按鍵設定、電壓顯示、過壓過流保護等功能。以Altera公司的CycloneII系列芯片EP2C5T144C8N為主控芯片,采用Verilog硬件描述語言對PWM信號產生模塊、數字PID模塊、ADC接口邏輯等進行了設計,并在Modelsim仿真軟件上完成了驗證,證明了設計方法的可行性和正確性。

關鍵詞:FPGA;反激式;PWM; PID;Verilog

中圖分類號:TN86 文獻標識碼:A 文章編號:1009-3044(2016)26-0240-03

The Design of Flyback Switching Power Supply Using FPGA Controlled

WANG Lei, CHEN Feng-teng, GAO Jun-nan

(Department of Mechanical and Electrical Engineering,Xuzhou Institute of Technology, Xuzhou 221111, China)

Abstract: According to the characteristics and the existing digital control scheme of switch power supply, a flyback switch power supply with the FPGA as the control core was designed. The hardware structure and the realization method of the system was discussed in detail. Through changing the duty ratio of PWM pulse, it realized voltage range 5~24v;it also has many function such as setting keys, voltage display, over-voltage and over-current protection.The chip EP2C5T144C8N of the Cyclone II series that launched by Altera was the control chip. Verilog HDL language was used in the design of the PWM signal generating module, digital PID module and the ADC interface logic; and then, through simulating on Modelsim software, the correctness and feasibility of the design method was proved.

Key words: FPGA; flyback ; PWM; PID; Verilog

1 引言

變壓器耦合反激式開關穩壓電源,結構簡單,省去了一個和變壓器體積相當的大電感,同時變壓器繞組間相互隔離,“熱地”與“冷地”是絕緣的,其絕緣耐壓強度很大,因而反激式電源在各種強調安全的中小功率電源中得到廣泛的應用[1]。

常規的可調式開關穩壓電源一般采用電位器結合專用的PWM調制芯片TL494、UC3842等,來實現輸出電壓的平緩調節。但是電位器的精度不高,在使用過程中又存在磨損、老化等問題就進一步導致控制精度的下降。數字電位器的出現雖然解決了機械磨損的問題,但受位數及分辨力限制,精度仍不能做到很高[1]。本文采用數控調壓方式,由FPGA邏輯電路產生PWM波控制MOSFET管通斷,進而改變輸出電壓的大小,通過閉環反饋對電壓進行實時精確調整,較好的克服了傳統可調式開關穩壓電源的缺點。此外FPGA器件采用硬件描述語言設計,具有良好的并行處理能力,有效避免了單片機等微控制器運行時程序跑飛的發生,因此系統具有較好的抗干擾能力。

2 硬件電路設計

2.1 系統組成結構與方案

整個系統主要由反激式電源電路與邏輯控制電路兩部分組成,其結構框圖如圖1所示。反激式開關電源的控制核心采用Altera公司的Cyclone II系列FPGA芯片EP2C5T144C8N,完成PWM信號產生、數字PI調節、SPI總線接口、按鍵輸入與數值顯示等控制功能。系統采用閉環設計,根據反饋電壓的AD采樣結果與設定值比較,得到偏差信號在數字PID控制器的作用下對自身PWM產生模塊的占空比進行實時調節,經驅動芯片放大后控制開關管的通斷,從而實現對輸出電壓的精確控制。系統的電壓設定值由FPGA片外按鍵輸入,AD采樣結果一方面提供給誤差分析模塊,另一方面送給數值顯示模塊在LCD上進行實時顯示。

2.2 反激式開關電源核心電路設計

核心電路中,為了提高MOSFET的驅動能力,和開關速率,PWM模塊的輸出信號經過TPS2819芯片后驅動MOSFET管工作[2]。TPS2819為TI公司單路高速MOSFET 驅動器芯片[6],與分立元件驅動電路相比可進一步提高電路的開關速率和可靠性。核心電路如圖2所示,在CCM模式下[3,4],其電壓變比為D/[N(1-D)]。當Q1關斷時磁場儲能在T1次級感應出4正3負的感應電壓使二極管導通,為C7充電。但由于T1漏感的存在,Q1關斷瞬間漏感電流不能突變,此時會產生很高的感應電壓。當輸入電壓為30V時,UDS的尖峰電壓可達265V,該電壓有可能超過Q1的耐壓值。因此在T1的一次繞組增加由R1、D1、C2組成的RCD電路[3],吸收漏感電流抑制感應電壓。

2.3 電流電壓采樣電路設計

電流檢測電路采用TI公司的高速、電壓輸出電流感測放大器芯片LMP8640,將監控電阻R3上的電流IS的變化轉換成電壓信號輸出到模數ADC采樣[7],當增益為20V/V時輸出電壓和監測電流的關系為:VOUT=40R3*IS。當電流超標時,FPGA將控制內部PWM模塊調整占空比,防止MOS管電流過大[5]。Q2、D5(TL431)、R6、R7構成電壓反饋電路,輸出電壓經過光電耦合形成閉環回路,同樣在ADC采樣后反饋給FPGA。ADC采樣電路如圖3所示,AD7887是低功耗12位模數轉換器,支持兩路信號輸入,可通過對片上控制寄存器設置使其工作在雙通道或者單通道模式,本系統需要采集反饋電壓和開關管電流兩個模擬信號,因此需要把AD7887設定在雙路輸入模式。CURRENT為LMP8640輸出的電流采樣結果,VOLT為光耦輸出的電壓采樣信號,管腳6~8接FPGA的SPI總線控制邏輯。

3 FPGA設計與仿真

本文的內部電路設計采用Verilog硬件描述語言編寫,主要包括ADC芯片接口邏輯、PWM脈沖生成模塊、按鍵檢測模塊[5]、占空比PID控制模塊。其控制過程如圖4所示,FPGA上電配置完成后,各模塊就緒并通過內部信號聯絡。沒有按鍵設定時FPGA輸出默認占空比脈沖,同時采樣ADC結果,數據處理后驅動LCD顯示。當有按鍵輸入時,內部控制邏輯將根據該設置值調整脈寬大小,該值不是固定的是通過PID控制算法,根據偏差量實時調節的。如果電流值超標,PWM模塊將立即調整占空比使電壓輸出降至最小默認值。

3.1 PWM脈沖產生

PWM信號的產生方式有很多,本設計采用移相法實現。同步PWM信號和相移后的信號進行異或運算實現占空比0~1之間可調。其原理如圖5所示,PWM_A為同步信號,PWM_B1、PWM_B1為不同相位下移相后的信號,可以看到在圖5中,OUT_B1為同步信號和PWM_B1異或后的結果占空比為25%;OUT_B2為同步信號和PWM_B2異或后的結果占空比為50%。PWM_Bn信號的延遲相位由FPGA中的延遲網絡產生,延遲參數受到數字PID模塊的輸出結果控制。圖6為PWM產生模塊的Modelsim仿真波形,在圖中可以清楚的看到輸出波形的脈寬變化。

3.2 采集時序設計

AD7887芯片的控制信號有、SCLK、DOUT和DIN構成,其控制時序如圖7所示,每16個SCLK為一個完整采樣周期,前4個時鐘為引導信號,后12個時鐘為有效數據位。數據讀取操作之前需要先配置控制寄存器,控制數據在第8個時鐘的上升沿寫入[8]。因設計中使用了兩路信號,需采用分時采集方式,電流值200ms采集一次,電壓信號每個采樣周期都進行采集。用Verilog硬件描述語言編寫AD7887芯片的控制程序[9],在圖8中可以清楚的看到控制寄存器數據10110000被成功的發送到DOUT引腳,將AD7887設置為雙通道模式0,下一周期采樣1通道信號。

圖7 AD7887工作時序圖

圖8 SPI總線仿真波形

3.3 數字PI算法設計

PID控制算法因其具有算法簡單、魯棒性好、可靠性高等特點目前得到了廣泛應用。本文將該算法應用在對PWM占空比的控制中,以改善占空比調節的響應速度和控制精度。PID控制算法的時域模型為[10]:

[u(t)=KP[e(t)+1Ti0te(τ)dt+Tdde(t)dt]] (1)

其中:為比例增益,為積分時間常數,為微分時間常數,對(1)式進行離散化處理得到離散化的數字差分方程:

[u(k)=KP{TSTij=0ke(j)+TdTS[e(k)-e(k-1)]}] (2)

將(2)式中兩次采樣結果作差,得到數字PID增量控制式:

[Δu(k)=Kp[e(k)-e(k-1)]+Kie(k)+Kd[e(k)-2e(k-1)+e(k-2)]] (3)

進一步整理得到:

[u(k)=u(k-1)+(Kp+Ki+Kd)e(k)+[-(Kp+2Kd)]e(k-1)+Kde(k-2)] (4)

(4)式和(2)式相比沒有了求和項,在實現上更加簡單,FPGA并行實現結構如圖9所示。

該并行結構的實現采用Verilog硬件描述語言設計,其仿真波形如圖10所示。由于FPGA的高速并行運算和硬件支持,使其控制性能比匯編或C語言實現的效果要好,并且系統的穩定性也得到了進一步的提升。

4 結論

開關穩壓電源目前已成為電源發展的主流方向,在航空航天、電子通訊等領域得到了廣泛的應用[10]。本文采用FPGA芯片EP2C5T144C8N做數字控制核心,MOS管驅動、電流采樣等模塊均采用集成電路以提高設計的可靠性。在PWM產生部分引入數字PID算法實現對反激式開關穩壓電源的閉環控制具有反應速度快、電壓調節方便、穩定性好等優點。該電源系統具有較好的抗干擾能力和較高的精度,并且FPGA程序升級維護方便,穩定性方面與單片機等控制器相比具有明顯優勢也便于實現自動化控制。

參考文獻:

[1](美)RaymondA.Mack,Jr著.開關電源入門[M].謝運祥,譯.北京:人民郵電出版社,2007.

[2] 劉雪,趙柏樹,楊維明.單片機控制的精密可調開關穩壓電源設計[J].電源技術,2014.3(38):535-537.

[3] 王曉雷,昊必瑞,蔣群. 基于MSP430單片機的開關穩壓電源設計[J].現代電子技術,2008.12(3):186-188.

[4] 郭鍵,朱杰,董萍萍.一種基于MSP430單片機的開關電源設計[J].電子設計工程,2012,20(19):134-138.

[5] 李躍. 反激式開關電源箝位電路優化設計[D].中南大學,2013.

[6] http://www.ti.com.cn/cn/lit/ds/symlink/tps2818.pdf

[7] http://www.ti.com.cn/cn/lit/ds/symlink/lmp8640.pdf

[8] http://www.analog.com/cn/products/analog-to-digital-converters/ad-converters/ad7887.html

[9] 喬廬峰. VerilogHDL數字系統設計與驗證[M].北京:電子工業出版社,2009.

[10] 李垂君.基于FPGA的PID控制器研究與實現[D].大連理工大學,2007.

91香蕉高清国产线观看免费-97夜夜澡人人爽人人喊a-99久久久无码国产精品9-国产亚洲日韩欧美综合